Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.

...

you should check for details in thequartus_sh_compile.log Either of the following two error messages hints to a problem with LOCALE settings.

Possible Error Details A
Code Block
Internal Error: Sub-system: CFG_INI, File: /quartus/ccl/cfg_ini/cfg_ini_reader.cpp, Line: 1530
Couldn't parse ini setting qspc_nldm_max_step_size=10.0 as a floating point value
Stack Trace:
     0xb4fe: err_report_internal_error(char const*, char const*, char const*, int) + 0x1a (ccl_err)
    0x17b45: cfg_get_double_value(std::string const&, double) + 0xe4 (ccl_cfg_ini)
    0x8f788: CFG_INI_DOUBLE::refresh() + 0x48 (tsm_qspc)
...
Error (23035): Tcl error: couldn't open "top.fit.rpt": no such file or directory
    while executing
"open $report"
    (procedure "fetch_pseudo_panel" line 3)
    invoked from within
"fetch_pseudo_panel $report "Found \[0-9\]* clocks" {1 0} 2"
    (procedure "fetch_clock_periods" line 6)
    invoked from within
"fetch_clock_periods $report"
    (procedure "fetch_clock" line 2)
    invoked from within
"fetch_clock "$revision_name.fit.rpt" $clkname"
    (procedure "get_fmax_from_report" line 8)
    invoked from within
"get_fmax_from_report $k_clk_name 1 $recovery_multicycle $iteration"
    (procedure "get_kernel_clks_and_fmax" line 5)
    invoked from within
"get_kernel_clks_and_fmax $k_clk_name $k_clk2x_name $recovery_multicycle $iteration"
    (file "/cm/shared/opt/intelFPGA_pro/19.4.0/hld/ip/board/bsp/adjust_plls.tcl" line 815)
    invoked from within
"source "$sdk_root/ip/board/bsp/adjust_plls.tcl""
    (file "scripts/post_flow_pr.tcl" line 59)
Error (23031): Evaluation of Tcl script scripts/post_flow_pr.tcl unsuccessful
...
Error: Quartus Fitter has failed! Breaking execution...
Error (23035): Tcl error: 
    while executing
"qexec "quartus_cdb -t scripts/post_flow_pr.tcl \"$top_path\"""
    invoked from within
"if {$revision_name eq "top"} {

  post_message "Compiling top revision..."

  # Load OpenCL BSP utility functions
  source "$sdk_root/ip/board/bsp/ope..."
    (file "compile_script.tcl" line 40)
Error (23031): Evaluation of Tcl script compile_script.tcl unsuccessful
Error: Quartus Prime Compiler Database Interface was unsuccessful. 3 errors, 0 warnings
    Error: Peak virtual memory: 1021 megabytes
    Error: Processing ended: Mon Mar 30 14:47:15 2020
    Error: Elapsed time: 03:06:43
    Error: System process ID: 21428
Possible Error Details B
Code Block
Internal Error: Sub-system: SIN, File: /quartus/tsm/sin/sin_simulation_interface.cpp, Line: 1768
near_target_voltage.is_track_half_vccio() || near_target_voltage.is_track_half_signal_swing() || near_target_voltage.is_double()
Processors in use: 16
Stack Trace:
  Quartus          0xd4cd4: SIN_SIMULATION_INTERFACE::build_netlist_key(SIN_SIMULATION_SETUP const*, IOO_PIN const*) + 0x334 (tsm_sin)
  Quartus          0xd4cd4: SIN_SIMULATION_INTERFACE::build_netlis  Quartus          0xd4cd4: SIN_SIMULATION_INTERFACE::build_netlist_k  Quartus          0xad9d8: SIN_JSPICE_SIMULATION_CACHE::get_simul  Quartus          0xad9d8: SIN_JSPICE_SIMULATION_CACHE::get_simulation_resul  Quartus         0x12d1ae: SIN_NADDER_MANAGER_BODY::get_simulatio  Quartus         0x12d1ae: SIN_NADDER_MANAGER_BODY::get_simulation_resu  Quartus          0xc664f: sin_parallel_run_simulation(SIN_PARALL  Quartus          0xc664f: sin_parallel_run_simulation(SIN_PARALLEL_INSTRUCTION*) + 0x3f (tsm_sin)
  Quartus           0x4da5: PUT_SPMD_JOB_VOID_PTR_IMPL::thread_loop(bool) + 0x9f (ccl_put)
  Quartus           0x4f  Quartus          0x42856: msg_thread_wrapper(void* (*)(void*), v  Quartus          0x42  Quartus          0x1543e: mem_thread_wrapper(void* (*)(void*), v  Quartus          0x15  Quartus           0xcd72: err_thread_wrapper(void* (*)(void*), v  Quartus           0xcd72: err_thread_wrapper(void* (*)(void*), void*) + 0x1e (ccl_err  Quartus           0x701e: thr_thread_begin + 0x2e (ccl_thr)
  System            0x81ca: start_thread + 0xea (pthread)
 
Error: Can't run the Timing Analyzer (quartus_sta) -- Fitter (quartus_fit) failed or was not run. Run the Fitter (quartus_fit) successfully before running the Timing Analyzer (create_timing_netlist).
Error: Quartus Prime Timing Analyzer was unsuccessful. 1 error, 0 warnings
Resolution

The root cause of both errors is the same, but is only evident in the first exemplary excerpt fromquartus_sh_compile.log: parsing of a number as floating point failed. This is caused by locale settings that are transferred from the computer you connect with to Noctua 2. After connecting to Noctua 2, check your locale settings with locale, and possibly change them with export LC_NUMERIC="en_US.UTF-8".

...